Saturday, December 12, 2020

VHDL program to implement OR gate using data flow modeling.

--VHDL program to implement OR gate using data flow modeling.

library IEEE;
use IEEE.std_logic_1164.all;
entity or_gate is
port(
I1 : in std_logic;
I2 : in std_logic;
OA : out std_logic);
end entity or_gate;
architecture behav of or_gate is
begin
OA <= I1 or I2;
end architecture behav;


For Safe Downloading of ModelSim (32/64 bit) please visit :-

For Safe Downloading of this program file please visit :-

Labels: , , , ,

0 Comments:

Post a Comment

Please feel free to ask your questions

Subscribe to Post Comments [Atom]

<< Home