VHDL program to implement NOR gate using data flow modeling.
--VHDL program to implement NOR gate using data flow modeling.
library IEEE;
use IEEE.std_logic_1164.all;
entity nor_gate is
port(
I1 : in std_logic;
I2 : in std_logic;
OA : out std_logic);
end entity nor_gate;
architecture behav of nor_gate is
begin
OA <= I1 nor I2;
end architecture behav;
For Safe Downloading of this program file please visit :-
Labels: Dataflow Modeling, Model Sim, nor gate, program, VHDL
0 Comments:
Post a Comment
Please feel free to ask your questions
Subscribe to Post Comments [Atom]
<< Home